曙海教育集团
上海:021-51875830 北京:010-51292078
西安:029-86699670 南京:4008699035
成都:4008699035 武汉:027-50767718
广州:4008699035 深圳:4008699035
沈阳:024-31298103 石家庄:4008699035☆
全国统一报名免费电话:4008699035 微信:shuhaipeixun或15921673576 QQ:1299983702
首页 课程表 报名 在线聊 讲师 品牌 QQ聊 活动 就业
嵌入式OS--4G手机操作系统
嵌入式硬件设计
Altium Designer Layout高速硬件设计
开发语言/数据库/软硬件测试
芯片设计/大规模集成电路VLSI
其他类
 
  Synopsys Hsim培训
   班级规模及环境--热线:4008699035 手机:15921673576( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山学院/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
最近开课时间(周末班/连续班/晚班)
Synopsys Hsim培训:2020年7月6日
   实验设备
     ☆资深工程师授课

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        ☆合格学员免费颁发相关工程师等资格证书,提升您的职业资质

        专注高端培训15年,曙海提供的证书得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   最新优惠
       ◆在读学生凭学生证,可优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Synopsys Hsim培训
培训方式以讲课和实验穿插进行

课程描述:

 HSIM是全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器。它可以准确的仿真电路的各种行为,包括:电路的功能、电压和电流波形、时序和功率信息、电源网络的电压降、串扰噪声以及地弹反射等。由于采用了创新的层次化数据存储和同构匹配算法,HSIM对仿真的电路规模几乎没有限制。层次化数据存储免除了仿真器处理电路臃余单元和子电路对内存的需求,因此HSIM可以容易地做到高容量的全电路仿真;同构匹配可以减少对臃余单元和子电路的计算量。
HSIM为高级IC设计人员提供了一整套全芯片电路验证所需要的功能,包括:?
·分级存储:应用高效分级结构数据库减少内存使用量并扩大电路尺寸。?
·回归模块矩阵解算程序:采用分级结构进行电路模拟,精度接近SPICE。?
·分级RC抑制算法:降低分级结构电路数据库中寄生电阻和电容的复杂程,减少精度损失。?
·布局之后寄生现象的后向注解:提供有效的布局后模拟和分析,存储器需求少。?
HSIM可以向SPICE一样用于任何电路的瞬态仿真,而且它比SPICE快无数倍。
HSIM的强项在于它维持高精度和快速的情况下,对数以千万记的晶体管的仿真能力。
HSIM的用户可以用它来对大的电路模块、一组电路模块或者整个电路做晶体管级仿真。
两天的课程安排:
第一阶段
     HSIMplus platform overview
     Software download and installation
     HSIM input/output files
     Basic HSIM setup
第二阶段
     Accuracy vs speed
     Back-annotation
     Interactive debugging

This training will teach you how to use the Synopsys HSIM FastSpice simulator. It will introduce HSIMplus, a comprehensive platform for simulation and analysis of high performance analog, mixed-signal, memory, and system on-chip designs including important post-layout effects.

The HSIMplus platform is built on the HSIM simulator to provide a fully integrated solution for nanometer IC design, including options for dynamic voltage drop analysis considering the timing impact of power net variation; reliability analysis of power and signal nets; coupling capacitance and dynamic crosstalk behavior; and MOSFET device reliability. Integrations with Cadence’s analog design environment and various HDL simulators, as well as circuit screening complete the set of available options.

 

第二阶段

Unit 1 Hsim basic training

?HSIM instruction

?HSIM Technology

?Setting HSIM Parameters

?HSIM Speed/accuracy tradeoff adjustment

?HSIM DC Analysis

?Interactive Debugging

?Misc. Features

Unit 2 Hsim advanced training

?Postlayout Simulation Flow

?Power Net/Signal Net/Mosfet Reliability Analysis

?Co-Simulation instruction

?Customer Support Introduction

 

OBJECTIVES

At the end of this workshop the student should be able to:

  • Learn the fundamentals of HSIM
  • Learn how to set up a basic simulation
  • Learn timing and power analysis commands
  • Learn netlist settings for back-annotation
  • Acquire hands on experience from course lab exercises

AUDIENCE PROFILE

This training is targeted for analog and/or mixed-signal designers.