曙海教育集团
全国报名免费热线:4008699035 微信:shuhaipeixun
或15921673576(微信同号) QQ:1299983702
首页 课程表 在线聊 报名 讲师 品牌 QQ聊 活动 就业
   班级规模及环境--热线:4008699035 手机:15921673576( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山学院/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
最近开课时间(周末班/连续班/晚班):即将开课,详情请咨询客服!
   实验设备
     ☆资深工程师授课
        
        ☆注重质量 ☆边讲边练

        ☆合格学员免费推荐工作
        ★实验设备请点击这儿查看★
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

课程大纲
 
  1. FPGA培训(CPLD培训)
       FPGA培训(CPLD培训)
     
      课程背景
    本培训课程主要帮助学员进行 CPLD/FPGA 的系统学习,以工程实践为例,深入探讨目前业界最新、最流行的器件,讲授业界最优秀的集成环境,最简洁的开发流程,和业界最流行的软处理技术。每次课程都配有相关实验,实验可以在ALTERA和XILINX两个公司的FPGA硬件平台上进行,培训学员可以根据自身情况选择开发环境。通过实验,学员可以更好的理解消化课堂知识,工程实践水平会得到迅速提高
  2.  教学目标
    培养学员熟练掌握和使用基于CPLD/FPGA的数字系统开发工具、开发流程(ALTERA和XILINX可选),能够独立解决开发中常见问题,能够自主进行成熟的基于CPLD/FPGA的数字系统设计。
  3.  任课教师
    课 程 大 纲
    课 程 内 容
     
    硬件描述语言(Verilog HDL / VHDL)基本语法和实践
    CPLD/FPGA技术的发展历史阶段和代表技术
     
    CPLD/FPGA 技术概念和发展现状
     
    单片机,CPLD/FPGA,DSP的区别
     
    与CPLD/FPGA设计相关数字电路基础知识复习
     
    cpld/fpga设计中几个基本概念
     
    使用quartusⅡ5.1设计数字电路的基本流程
     
    CPLD/FPGA 典型应用领域一:替代传统数字电路
     
    CPLD/FPGA 典型应用领域二:接口控制器
     
    CPLD/FPGA 典型应用领域三:数字信号处理
     
    FPGA 的设计流程和设计方法简介,包括原理图、波形图、状态转换图及各种硬件描述语言简介
     
    CPLD与FPGA的区别和各自的应用领域
     
    ALTERA公司FPGA的特点以及当前流行的FPGA产品介绍
     
    单点流水灯VERILOG HDL设计代码讲解
     
    单片机通过CPLD扩展外部IO口设计讲解
     
    CPLD/FPGA 的下载及内部测试的配置与方法
     
    几种硬件描述语言的比较
     
    Cpld/fpga数字电路设计经验
     
    FPGA设计规范
     
    详细介绍QuartusII软件环境和使用方法
     
    上机实践(多点流水灯实验)
     
    VHDL 和Verilog HDL的各自特点和应用范围
     
    Verilog HDL的抽象级别
     
    Verilog HDL的几个基本概念
     
    Verilog HDL基本结构语言要素与语法规则
     
    如果设计可靠的组合逻辑电路以避免毛刺的产生
     
    ALTERA公司芯片如何处理内部三态电路
     
     
    FPGA设计进阶及工程设计中应该注意的问题
    典型的Verilog HDL代码分析 1
     
    典型的Verilog HDL代码分析 2
     
    上机实践(用原理图设计按键开关灯实验)
     
    Verilog HDL 里面的Reg 和 Wire类型定义的用法和区别
     
    Verilog HDL 里面的阻塞和非阻塞赋值的用法和区别
     
    Verilog HDL 和C语言的联系和区别
     
    Verilog HDL 里面的系统任务和函数的调用方法
     
    Verilog HDL 里面最常用的两个语句IF和CASE的使用方法和注意事项
     
    Verilog HDL组合逻辑语句结构和设计要点
     
    Verilog HDL时序逻辑语句结构和设计要点
     
    Verilog HDL 程序设计中需要注意的问题
     
    典型电路设计实例,如双向电路及三态控制电路设计
     
    上机实践(用原理图设计时钟实验)
     
    设计输入方法(原理图,波形图,状态转换图 ,HDL 语言, EDIF , LPM ,IP Core)
     
    Verilog HDL 里面的任务(TASK) 和函数(FUNCTIONG)的联系和区别
     
    有限状态机的设计原理及其代码风格
     
    Verilog HDL 里面可综合的代码风格
     
    上机实践(用verilog HDL语言设计时钟实验)
     
    逻辑综合的原则,可综合的代码设计风格,设计优化和设计方法如:速度优化与面积优化
     
    功能仿真与时序仿真的区别和适用条件
     
    结构综合和布局布线约束规则
     
     
    FPGA工程设计实例和可编程逻辑设计指导原则以及FPGA最小系统设计方法
    综合报告的查看技巧
     
    LogicLock(逻辑锁定)技术
     
    Signaltap在线逻辑分析仪调试技术
     
    HDL代码设计的仿真和调试技巧
     
    FPGA硬件系统设计注意事项
     
    12位串行输入D/A转换器DAC7513设计实例
     
    上机实践(12位D/A转换器DAC7513实验)
     
    16位串行输入D/A转换器DAC7734设计实例
     
    C51单片机与FPGA并行通信设计实例。
     
    可编程逻辑设计指导原则
     
    FPGA最小系统概念以及硬件系统的构成,包括:FPGA主芯片电路设计,JTAG 下载与调试接口,异步SRAM存储器接口电路设计,FLASH存储器接口电路设计,其他外围电路设计,电源,时钟和复位电路设计
     
    FPGA最小系统的调试方法和技巧
     
    利用最小系统构建复杂系统的方法
     
    上机实践(单片机与FPGA并行通信实验)
     
     

  4.  

  5.  

  6.  

  7.  
     

  8.  
     
     
     

  9.  

  10.  

  11.  
     
     
     

  12.  
     
     
     

  13.    


  14.  

  15.  
     

  16.  
     












"















 

 

 

友情链接:Cadence培训 ICEPAK培训 EMC培训 电磁兼容培训 sas容培训 罗克韦尔PLC培训 欧姆龙PLC培训 PLC培训 三菱PLC培训 西门子PLC培训 dcs培训 横河dcs培训 艾默生培训 robot CAD培训 eplan培训 dcs培训 电路板设计培训 浙大dcs培训 PCB设计培训 adams培训 fluent培训系列课程 培训机构课程短期培训系列课程培训机构 长期课程列表实践课程高级课程学校培训机构周末班培训 南京 NS3培训 OpenGL培训 FPGA培训 PCIE培训 MTK培训 Cortex训 Arduino培训 单片机培训 EMC培训 信号完整性培训 电源设计培训 电机控制培训 LabVIEW培训 OPENCV培训 集成电路培训 UVM验证培训 VxWorks培训 CST培训 PLC培训 Python培训 ANSYS培训 VB语言培训 HFSS培训 SAS培训 Ansys培训 短期培训系列课程培训机构 长期课程列表实践课程高级课程学校培训机构周末班 曙海 教育 企业 学院 培训课程 系列班 长期课程列表实践课程高级课程学校培训机构周末班 短期培训系列课程培训机构 曙海教育企业学院培训课程 系列班